Программируемый формирователь последовательности импульсов с цифровой индикацией количества импульсов

Блок нормирования импульса запуска. Цифровой программируемый ждущий мультивибратор. Блоки настройки и индикации. Формирование последовательности импульсов заданной частоты. Подача стартового импульса. Схема устранения влияния вибрации контактов.

Подобные документы

  • Преобразование частоты вращения двигателя в частоту электрических сигналов. Генератор тактов, переключение декад, импульс сброса, подсчет импульсов. Минимальная длительность импульса. Сбор и отправка данных. Применение понижающего трансформатора ТП112К56.

    курсовая работа, добавлен 22.01.2015

  • Процесс преобразования напряжения в цифровой код. Метод последовательных приближений. Генераторы прямоугольных импульсов. Основные параметры элементов времязадающих цепей. Состав схем малой и средней степеней интеграции. Время задержки распространения.

    курсовая работа, добавлен 04.10.2012

  • Каналы связи с помехами. Передаточная функция звеньев. Преобразование аналоговой и цифровой информации. Корневые оценки качества. Теорема квантования по времени. Спектры одиночных импульсов и радиоимпульсов. Скользящие режимы в оптимальных системах.

    шпаргалка, добавлен 10.08.2013

  • Мультивибратор с ёмкостными коллекторно-базовыми связями (релаксационный генератор колебаний). Ждущий, быстродействующий вибраторы, блокинг-генераторы. Автоколебательный, ждущий режим работы. Пуск в ход двигателей постоянного тока, регулирование частоты.

    лекция, добавлен 20.01.2010

  • Структурная схема и принцип действия разрабатываемого проекта. Разработка объединённой таблицы истинности. Расчёт генератора импульсов, многоразрядного счётчика, схемы формирования импульса записи, выходных регистров памяти, схемы сброса по питанию.

    курсовая работа, добавлен 09.12.2013

  • Внедрение микропроцессорной и цифровой техники в устройства управления промышленными объектами. Проектирование схемы детектора фронтов, генератора тактовых импульсов, счетного устройства, блока вывода в устройство обработки, блока индикации и управления.

    курсовая работа, добавлен 15.05.2012

  • Описание интегратора первого порядка. Обзор микроконтроллера AТmega16. Доопределение набора аппаратных средств. Схема включения микроконтроллера. Формирование тактовых импульсов. Организация сброса. Алгоритм работы и проектирование модулей устройства.

    курсовая работа, добавлен 19.12.2010

  • Генератор импульсов треугольной формы. Расчет и выбор элементов параметрического стабилитрона. Повторитель напряжения. Схема, внешний вид и характеристики микросхемы К140УД20. Структурная схема источника питания. Напряжение на обмотке трансформатора.

    дипломная работа, добавлен 15.05.2013

  • Математическая запись гармонических колебаний. Амплитудный и фазовый спектры периодического сигнала. Спектр периодической последовательности прямоугольных импульсов. Внутренний интеграл, являющийся функцией частоты. Спектры непериодических сигналов.

    контрольная работа, добавлен 13.02.2015

  • Построение генератора прямоугольных импульсов с видом характеристики типа "меандр". Амплитуда сигнала стандартная для транзисторно-транзисторной логики. Функциональная схема устройства: описание ее работы, выбор элементов и расчет их параметров.

    курсовая работа, добавлен 12.07.2009

  • Построение структурной, функциональной и принципиальной схемы цифрового частотомера. Измерение частоты электрических колебаний от единиц герц до 10 МГц и амплитудой от 0,15 до 10 В с ведением счета числа импульсов входного сигнала. Выбор элементной базы.

    курсовая работа, добавлен 26.01.2015

  • Генерация четырехбитного кода цифр. Составление таблицы истинности для четырех входных переменных. Генераторы импульсов на логических элементах. Разрядность двоичного параллельного цифрового кода. Формирование последовательности номера телефона.

    курсовая работа, добавлен 08.03.2016

  • Функциональная схема автоматической системы передачи кодированных сигналов в канал связи. Задающий генератор и делитель частоты. Преобразователь параллельного кода в последовательный. Формирователь стартовых импульсов. Схема согласования с каналом связи.

    курсовая работа, добавлен 05.02.2013

  • Оцифровка приборов для измерения температуры. Структурная схема цифрового термометра. Преобразователь температура-частота. Генератор прямоугольных и секундных импульсов. Электронный счетчик импульсов. Использование операционного усилителя К574УД1Б.

    курсовая работа, добавлен 07.01.2015

  • Определение периода следования зондирующего импульса. Выбор метода обзора рабочей зоны, расчет параметров. Определение числа разрешающих объемов и числа импульсов в пачке. Функциональная схема некогерентной одноканальной радиолокационной станции.

    курсовая работа, добавлен 11.07.2015

  • Разработка генератора прямоугольных импульсов, длительностью 5 мкc, сдвинутых на заданное время относительно перехода через 0 сетевого синусоидального напряжения 220В. Расчет источника тока, управляемого напряжением, выбор резисторов и конденсаторов.

    курсовая работа, добавлен 08.06.2012

  • Расчет тактовой частоты, параметров электронной цепи. Определение ошибки преобразования. Выбор резисторов, триггера, счетчика, генераторов, формирователя импульсов, компаратора. Разработка полной принципиальной схемы аналого-цифрового преобразователя.

    контрольная работа, добавлен 23.12.2014

  • Синтез эквивалентных и принципиальных схем электрического фильтра и усилителя напряжения. Анализ сложного входного сигнала и его прохождения через схемы разработанных радиотехнических устройств. Анализ спектра последовательности прямоугольных импульсов.

    курсовая работа, добавлен 03.12.2014

  • Развитие микроэлектроники и освоение производства интегральных микросхем. Применение микроконтроллеров и микроэлектронных генераторов импульсов. Разработка электрической и принципиальной схем устройства. Анализ временных соотношений и погрешностей.

    курсовая работа, добавлен 22.10.2009

  • Преобразование энергии источника постоянного тока в энергию электрических колебаний при помощи релаксационных генераторов. Устройство автоколебательного мультивибратора на дискретных компонентах. Выбор структурной схемы генератора прямоугольных импульсов.

    курсовая работа, добавлен 14.06.2011

  • Проектирование цифрового термометра с возможностью отображения температуры на ЖК индикаторе. Аналитический обзор цифрового термометра. Схема включения микропроцессора, формирования тактовых импульсов. Разработка программного обеспечения микроконтроллера.

    курсовая работа, добавлен 19.12.2010

  • Импульсная последовательность - совокупность РЧ и градиентных импульсов с целью визуализациии выбранного сечения. Сущность последовательностей "спиновое эхо", "градиентное эхо". Метод частотно-фазового кодирования как модификация метода Лаутербура.

    контрольная работа, добавлен 12.01.2011

  • Управляемый объект из четырех типовых динамических звеньев, соединенных между собой в определенной последовательности с образованием двух замкнутых контуров. Исследование устойчивости объекта. Расчетная схема цифровой модели объекта для системы Simulink.

    курсовая работа, добавлен 11.02.2013

  • Моделирование измерителя интервалов времени в MathCad. Сборка схемы генератора прямоугольных импульсов в среде программирования Electronics WorkBench. Назначение и конструкция дефектоскопа ультразвукового УД2-12. Генератор синхронизации импульсов.

    курсовая работа, добавлен 04.04.2015

  • Изучение схемотехники и функционирования биквадратурного генератора прямоугольных импульсов. Вычисление значения частот на выходах микросхемы. Определение назначения резисторов. Применение генератора при создании синхронных фильтров частотных сигналов.

    лабораторная работа, добавлен 18.06.2015

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу и оценить ее, кликнув по соответствующей звездочке.