Разработка VHDL-описания последовательных схем с регулярной структурой

Тип синтезируемого устройства: регистр сдвига на синхронных двухступенчатых D-триггерах с запрещающими связями. Синхронный двухступенчатый D-триггер с запрещающими связями. Временные диаграммы и поведенческое описание. Кодировка выходного алфавита.

Подобные документы

  • Суть способа преобразования вероятной последовательности в псевдослучайную длину. Анализ возможностей построения генератора возможного числа на основе регистров сдвига с обратными связями и конкатенацией циклов. Период повторения гиперциклового порядка.

    статья, добавлен 14.07.2016

  • Порядок проектирования синхронной реверсивной схемы, генерирующей последовательности 0,7,1,5,4,2,3 и (3,2,4,5,1,7,0) на Т- и RS-триггерах. Анализ сложности проектируемого устройства и выбор типа триггера. Синтез триггерного устройства выбранного типа.

    курсовая работа, добавлен 19.07.2012

  • Описание взаимодействия всех блоков микро-ЭВМ и их оптимизации. Запоминающие устройства, функциональный состав и временные диаграммы. Разработка устройства управления, системы прерываний. Описание работы устройства на вентильно-регистровом уровне.

    курсовая работа, добавлен 24.06.2013

  • Сети Петри с ингибиторными (запрещающими) дугами. Приоритетные, временные, стохастические, функциональные, автоматные сети Петри, их основные примеры. Цветная или раскрашенная сеть Петри. WF-сети как подкласс называемый также сетями потоков работ.

    презентация, добавлен 05.11.2016

  • Структурная схема для побайтной передачи информации в цифровом виде. Выбор элементов устройства, функции параллельного регистра сдвига. Понятие и назначение запоминающего устройства, принцип его работы и устройство. Проверка работоспособности устройства.

    реферат, добавлен 13.04.2016

  • Характеристика последовательных и параллельно-последовательных интерфейсов измерительных систем. Приведение примеров их одноступенчатых, двухступенчатых и трехступенчатых структур. Описание процесса обмена информацией между функциональными блоками.

    контрольная работа, добавлен 13.10.2015

  • Разработка операционной части автомата Мили на Т-триггерах устройства, реализующего выполнение операции ускоренного умножения в прямом коде компьютера. Кодирование состояния автомата, структурной таблицы переходов, определение систем логических функций.

    контрольная работа, добавлен 16.05.2012

  • Особенность построения на базе программируемых интегральных логических схем типа FPGA устройств защиты данных. Реализация операции эллиптической криптографии в базисе одноуровневой системы остаточных классов. VHDL-описание трехместного сумматора.

    контрольная работа, добавлен 11.01.2020

  • Построение устройства, позволяющего получать арифметическую сумму двух 4-разрядных чисел, записанных в регистры с внешнего порта. Программа декодера и 8-ми разрядного регистра. Временные диаграммы кодопреобразователя. Схемы блока дешифрации и регистров.

    контрольная работа, добавлен 03.10.2017

  • Исследование подхода к формированию правдоподобных выводов на нейросетях со связями по схеме голографии Фурье. Анализ закономерности формирования индуктивного обобщения как следствия фундаментального свойства ограниченности динамического диапазона сред.

    статья, добавлен 18.01.2018

  • Преимущества использования программируемого логического контроллера. Языки стандарта МЭК, характеристика международной электротехнической комиссии. Язык последовательных функциональных схем SFC. Принцип работы устройства, синтаксис описания puls-действий.

    курсовая работа, добавлен 23.11.2019

  • Определение особенностей триггеров, которые входят в состав регистров. Ознакомление со схемой хранения кода в параллельном регистре. Рассмотрение структуры накапливающего сумматора. Исследование процесса передачи информации с помощью регистров сдвига.

    лекция, добавлен 12.01.2015

  • Разработка устройства "последовательно-параллельного преобразователя" при помощи программной среды Quartus на языке описания аппаратуры Verilog. Схема двухступенчатого аналого-цифрового преобразователя. Преобразование сигнала в разряды выходного кода.

    статья, добавлен 22.01.2021

  • Разработка модели двух синхронных процессов с помощью программы Matlab. Доработка имитационной модели для случая двухканальной системы обслуживания. Способы составления схемы модели по обслуживанию клиентов. Регистрирующие блоки Display и Scope.

    лабораторная работа, добавлен 11.12.2016

  • Понятие и устройство триггера, его логическая структура, основные характеристики работы. Особенности строения и действия, классификация регистра как внутренней памяти микропроцессора. Принцип программного управления, значение команды в современных ЭВМ.

    контрольная работа, добавлен 27.10.2011

  • Использование триггеров для построения цифровых устройств с памятью, таких как: счетчики, преобразователи последовательного кода и др. Таблица истинности синхронного RS-триггера, особенности его построения на логических элементах "2И-НЕ" и "2ИЛИ-НЕ".

    презентация, добавлен 01.03.2016

  • Создание функционирующей базы данных по предметной области, выполняющей требуемый круг задач. Ее описание, выявление основных объектов с их атрибутами и связями между ними, построение модели в нотации Чена и представление базы данных в графическом виде.

    курсовая работа, добавлен 05.03.2014

  • Описание логики работы разрабатываемого устройства на основе программируемых логических интегральных схем. Описание функционирования арифметико-логического устройства с помощью языка VerilogHDL. Анализ структуры программы, ее тела и принципа работы.

    курсовая работа, добавлен 09.06.2014

  • Создание и исследование информационной модели для наглядного иллюстрирования процессов, происходящих во время работы оперативной памяти компьютера, а именно в ячейках памяти, предназначенных для хранения информации и реализуемых на RS-триггерах.

    статья, добавлен 22.03.2019

  • Описание цифрового модуля на языке AHDL. Содержание конструкторского файла. Параметры и простые переменные в языках описания аппаратуры. Типы портов, узлов, групп, примитивы буферов и триггеров логического устройства. Создание конечного автомата.

    реферат, добавлен 16.01.2018

  • Методы построения моделей процессов средствами комплекса IBM Rational Rose. Разработка диаграмм статического описания системы: классов, объектов, компонентов и развертывания. Диаграммы динамического описания системы. Инструментальная среда ARIS Toolset.

    учебное пособие, добавлен 27.11.2013

  • Основы математической логики. Особенность комбинационных схем и конечных автоматов. Характеристика одноразрядного двоичного сумматора и дешифратора. Основные типы электронных цифровых элементов памяти. Анализ параллельных и последовательных регистров.

    контрольная работа, добавлен 27.06.2015

  • Сущность понятия "триггеры". Классификация триггеров и назначение каждого вида. Использование контекстных переменных NEW и OLD и событий InterBase в триггерах. Описание базы данных в среде InterBase 7.0, используемые в программном приложении триггеры.

    курсовая работа, добавлен 20.12.2010

  • Разработка архитектуры устройства: процессора, памяти, интерфейса, структурной, функциональной и принципиальной схем (блоки микро-ЭВМ и устройства). Проектирование программных средств, разработка структуры данных. Декомпозиция и алгоритмизация программы.

    курсовая работа, добавлен 14.12.2012

  • Описание свинцово-кислотных аккумуляторных батарей. Принципы построения автоматизированного зарядного устройства. Выбор микроконтроллера, языка написания программы-прошивки и среды разработки. Разработка функциональной и электрической принципиальной схем.

    дипломная работа, добавлен 03.06.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу и оценить ее, кликнув по соответствующей звездочке.